//数码管显示 module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel ); parameter max_cnt_1ms = 16'd50000; (2025)

首页//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms - 1'b1) cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms - 1'b1) flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel - 1'b1) cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin /

时间: 2025-03-14 09:03:21 浏览: 7

<think>好的,用户现在需要关于Verilog实现数码管动态扫描显示的代码解析或改进。首先,我得回顾一下数码管显示的基本原理。数码管有静态和动态两种显示方式,静态显示每个段都需要独立的I/O口,这在多位数码管时会占用太多资源,而动态扫描则是逐位快速刷新,利用视觉暂留效果,节省资源。用户之前可能已经了解静态显示,现在想转向动态扫描,所以需要解释两者的区别和动态扫描的优势。接下来,用户提到他们参考的资料是关于静态显示和74HC595的使用。动态扫描通常涉及更复杂的时序控制,比如分时复用各个数码管。需要确定他们的硬件是否支持动态扫描,比如是否使用移位寄存器还是直接控制段选和位选。根据引用[2],他们的开发板使用两片74HC595,这是一个串行输入并行输出的芯片,可能用于控制段选和位选信号。因此,代码需要生成适当的时钟信号来驱动74HC595,可能需要串行发送数据。然后,用户需要具体的代码解析和改进建议。我应该先提供一个基本的动态扫描Verilog示例,确保代码结构清晰,包括分频模块、扫描计数器和数据输出。然后分析可能的问题,比如刷新率是否合适,消隐处理是否到位,以及如何优化资源使用。改进方面,用户可能遇到闪烁、亮度不均或者资源占用过高的问题。可以建议增加消隐信号,调整扫描频率,或者优化数据存储方式,比如使用查找表减少逻辑资源。同时,考虑到FPGA的资源限制,代码需要高效,避免过多的组合逻辑导致时序问题。另外,需要检查引用资料中的静态显示实现,对比动态扫描的不同之处,比如如何通过移位寄存器减少I/O占用。动态扫描的关键是按时序切换位选信号,并同步更新段选数据,这需要精确的时钟分频和状态控制。最后,确保回答结构清晰,分步骤解释实现原理、代码示例、关键点分析以及改进方法,并附上相关问题引导用户深入思考。同时,引用提供的参考资料,特别是在讨论静态显示与动态扫描对比时,引用[1]和[2]中的内容,说明动态扫描如何解决资源问题。</think># Verilog数码管动态扫描显示实现解析与改进## 一、实现原理数码管动态扫描通过分时复用技术,依次点亮多个数码管。当扫描频率超过24Hz时,人眼会因视觉暂留效应产生"持续点亮"的错觉[^2]。相比静态显示,动态扫描可以显著减少I/O资源占用,例如8位数码管动态扫描仅需8+7=15个I/O(n位段选+7段显示)。## 二、基础实现代码```verilogmodule dynamic_scan( input clk, input [31:0] data, // 8位4段数据 output reg [7:0] seg, output reg [7:0] dig);reg [19:0] cnt; // 分频计数器reg [2:0] scan_cnt; // 扫描计数器reg [3:0] current_data; // 当前显示数据// 1ms扫描周期(50MHz时钟)always @(posedge clk) begin cnt <= (cnt == 20'd50_000) ? 0 : cnt + 1; if(cnt == 0) begin scan_cnt <= scan_cnt + 1; case(scan_cnt) 0: begin dig <= 8'b11111110; current_data <= data[3:0]; end 1: begin dig <= 8'b11111101; current_data <= data[7:4]; end // ...后续位数同理 endcase endend// 七段译码(共阴)always @(*) begin case(current_data) 0: seg = 7'b1000000; // 0 1: seg = 7'b1111001; // 1 // ...完整译码表 endcaseendendmodule```

最低0.47元/天开通会员,查看完整答案

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (1)

成为会员后, 你将解锁

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (2)

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (3) 下载资源随意下

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (4) 优质VIP博文免费学

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (5) 优质文库回答免费看

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (6) C知道免费提问

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (7) 付费资源9折优惠

相关推荐

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (8)

八段数码管显示实验 SEG_LED入门指南

综合上述内容,Seg_LED实验不仅是一个关于八段数码管显示的基础项目,它还包括了数字电路知识、微控制器编程、硬件搭建与调试等多个方面的技能学习,是电子爱好者和初学者不可或缺的一个入门实验。通过完成此实验,...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (9)

4位数码管时钟设计与实现_基于seg_disp函数的精准计时

3. seg_disp函数:这个函数是用于控制数码管显示的核心代码部分。在编程中,通过操作不同的段(segment)来控制数码管显示对应的数字。"seg_disp"函数可能是一个封装好的库函数或者模块,用于简化数码管的控制逻辑。...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (10)

VHDL语言编写的数码管程序S3_SEG7DISP

总之,本资源中的"S3_SEG7DISP.rar_SEG7DISP_vhdl"提供了一个VHDL实现数码管显示控制逻辑的实际案例,涵盖了硬件描述语言编程的多个重要方面,对于希望了解和掌握FPGA或ASIC设计的工程师和学生来说,是一个非常有...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (11)

module seven_tube(clk, rst_n, data_in, sel, seg);input clk;input rst_n;input [23:0] data_in;//6个数码管显示:24位数据output [2:0] sel;//数码管位选信号output [7:0] seg;//数码管段选信号wire clk_1khz;freq freq_dut(.clk(clk), .rst_n(rst_n), .clk_out(clk_1khz));seg_ctrl_v2 seg_ctrl_v2_dut(.clk_1khz(clk_1khz), .rst_n(rst_n), .data_in(data_in), .sel(sel), .seg(seg));endmodule

模块接收时钟信号clk、复位信号rst_n、24位的数据信号data_in,以及输出位选信号sel和段选信号seg。 首先,代码中实例化了一个频率分频模块freq_dut,将输入时钟信号clk和复位信号rst_n连接到该...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (12)

module topdesign( input clk, // 输入时钟信号 input rst_n, // 输入复位信号 output reg div_clk, output reg [6:0] cnt, output reg [7:0] seg, // 数码管的段选信号 output reg [7:0] sel, // 数码管的位选信号 output reg o_trig ); // 实例化各个模块 shizhongfenpin div_clk_inst( .clk(clk), .clr(rst_n), .div_clk(div_clk), .cnt(cnt) ); xianshiqi seg_inst( .clk(clk), .rst_n(rst_n), .data(cnt), .seg(seg), .sel(sel) ); chufaxinhao trig_inst( .clk(clk), .rst(rst_n), .i_clk_en(div_clk), .o_trig(o_trig) ); huibojiance debounce_inst( .clk(clk), .rst(rst_n), .i_btn(o_trig), .o_btn(btn) ); endmodule、

分别是:时钟分频模块shizhongfenpin、数码管显示模块xianshiqi、触发信号生成模块chufaxinhao和抖动检测模块huibojiance。这个模块的功能是通过数码管显示计数器的值,同时检测按钮是否被按下,如果被按下则产生...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (13)

程序源代码】(加注释)module top(clk,rst_n,seg,sel); input clk,rst_n;//50MHZ系统时钟 output [7:0] seg; output [2:0] sel; wire clk_r; wire [3:0] num; //例化模块 speed speed( .clk(clk),.rst_n(rst_n),.clk_r(clk_r) ); sel_det sel_det( .clk_r(clk_r),.rst_n(rst_n),.sel(sel)); num_det num_det(.clk_r(clk_r),.rst_n(rst_n),.num(num) ); seg_num seg_num( .num(num),.seg(seg) ); endmodule // module speed(clk,rst_n,clk_r); input clk,rst_n; output clk_r;//50MHZ系统时钟 reg [23:0] cnt; reg clk_r; always @(posedge clk or negedge rst_n) begin if (!rst_n) begin cnt=0; clk_r=0; end else if (cnt==5) begin cnt=0; clk_r=~clk_r; end else cnt=cnt+1; end endmodule

input [3:0] num; // 输入数字 num,4 个二进制位 output [7:0] seg; // 输出七段数码管的显示信号 seg,8 个二进制位 always @(num) begin case(num) // 根据输入数字的不同情况,生成不同的七段数码管显示...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (14)

解释以下代码:module test2(input clk, input rstn, input [15:0] sw_i, output [7:0] disp_seg_o, output [7:0] disp_an_o); reg [15:0] temp_sw; reg [7:0] disp; assign disp_an_o = 8'b1111_1110; always@(sw_i) begin temp_sw = sw_i[15:0]; case (temp_sw) 4'b0000: disp = 8'b00111111;//0 0011_1111 1100_0000 4'b0001: disp = 8'b00000110;//1 0000_0110 1111_1001 4'b0010: disp = 8'b01011011;//2 0101_1011 1010_0100 4'b0011: disp = 8'b01001111;//3 0101_1011 1010_0100 4'b0100: disp = 8'b01100110;//4 0110_0110 1001_1001 4'b0101: disp = 8'b01101101;//5 0110_1101 1001_0010 4'b0110: disp = 8'b01111101;//6 0111_1101 1000_0010 4'b0111: disp = 8'b00000111;//7 0000_0111 1111_1000 4'b1000: disp = 8'b01111111;//8 0111_1111 1000_0000 4'b1001: disp = 8'b01101111;//9 0110_1111 1001_0000 default: disp = 8'b00000000; endcase end assign disp_seg_o = ~disp; endmodule

- disp_seg_o:8 位数码管的段选输出,用于控制数码管的显示。 - disp_an_o:8 位数码管的位选输出,用于控制数码管的哪个位显示数字。 该模块中定义了两个寄存器,temp_sw 和 disp,分别用于存储开关输入和要显示...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (15)

module seg_top( input I_clk , input I_rst_n , input [31:0] I_dht11_data , output [23:0] O_disp_data , output O_stcp , output O_shcp , output O_ds , output O_oe

- I_clk:时钟信号 - I_rst_n:复位信号,低电平有效 - I_dht11_data:32位输入数据 输出端口: - O_disp_data:24位输出数据 - O_stcp:移位寄存器的存储时钟信号 - O_shcp:移位寄存器的移位时钟信号 - O_ds:...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (16)

//切换数码管段选 always @(posedge clk or negedge rst_n) begin if (!rst_n) begin seg_flag<=0; end else begin case (sel) 6'b111_110: begin seg_flag<=dout_time[19:18]; dot<=1'b1;end //小时 十位 6'b111_101: begin seg_flag<=dout_time[17:14]; dot<=1'b0;end //小时 个位 6'b111_011: begin seg_flag<=dout_time[13:11]; dot<=1'b1;end //分钟 十位 6'b110_111: begin seg_flag<=dout_time[10:7]; dot<=1'b0;end //分钟 个位 6'b101_111: begin seg_flag<=dout_time[6:4]; dot<=1'b1;end //秒 十位 6'b011_111: begin seg_flag<=dout_time[3:0]; dot<=1'b1;end //秒 个位 default :seg_flag<=0; endcase end end

模块的输入包括时钟信号 clk、复位信号 rst_n、选择信号 sel,和时钟周期内的数据 dout_time。其中,sel 用于选择需要显示的数据,dout_time 包含了需要显示的具体数值。在模块内部,使用了 case 语句根据 sel 的值...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (17)

module top_design( input Clk, //system clock 100MHz input Rst_n, //reset ,low valid input echo, // output trig, //触发测距信号 output LED , output [6:0]hex1, // -共阳极,低电平有效 output [6:0]hex2, // - output [6:0]hex3, // - output [6:0] hex4 ); wire[17:00]line_data; // e_and_tu0( .Clk(Clk), //system clock 100MHz .Rst_n(Rst_n), //reset ,low valid .echo(echo), // .trig(trig), //触发测距信号 .data_o(line_data) //检测距离,保留3位整数,单位:cm ); seg u1( .clk(Clk), //100MHz .rst_n(Rst_n), //low valid .data_in(line_data), //待显示数据 .hex1(hex1), // -共阳极,低电平有效 .hex2(hex2), // - .hex3(hex3), // - .hex4 (hex4 ) ); //Logic Description endmodule

- seg:这个子模块实现了一个七段数码管显示器,用于显示检测到的距离数据。它的输入包括时钟信号、复位信号和待显示数据,输出则是四个七段数码管的信号。 整个模块的功能是将测距器和七段数码管显示器结合在...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (18)

//数码管显示 module seg_driver( input clk , input rst_n , input [31:0]data,//待显示的数据 output wire[7:0] sel , output wire[7:0] seg ); //wire [31:0]data; // assign dig_seg = 8'd0; // assign dig_sel = 1'b0; reg [7:0] dig_sel; reg [7:0] dig_seg; localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; //20us计数器 reg [3:0] data_tmp; //用于取出不同位选的显示数据 // assign data = 32'hABCD_4413; //描述位选信号切换 //描述刷新计数器 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end assign sel = dig_sel; //段选信号描述 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 8'b1111_1110:data_tmp <= data[ 3-:4]; 8'b1111_1101:data_tmp <= data[ 7-:4]; 8'b1111_1011:data_tmp <= data[11-:4]; 8'b1111_0111:data_tmp <= data[15-:4]; 8'b1110_1111:data_tmp <= data[19-:4]; 8'b1101_1111:data_tmp <= data[23-:4]; 8'b1011_1111:data_tmp <= data[27-:4]; 8'b0111_1111:data_tmp <= data[31-:4]; default: data_tmp <= 4'hF; endcase end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end assign seg = dig_seg ; endmodule

这段代码是一个 Verilog HDL 的模块,用于控制数码管的显示。其中包含了时钟和复位信号,以及待显示的数据和位选信号。模块的功能是将待显示的数据转换成数码管的段选信号和位选信号,从而实现数据的显示。具体实现...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (19)

讲下面代码分部分讲解//数码管显示 module seg_driver( input clk , input rst_n , input [31:0]data,//待显示的数据 output wire[7:0] sel , output wire[7:0] seg ); //wire [31:0]data; // assign dig_seg = 8'd0; // assign dig_sel = 1'b0; reg [7:0] dig_sel; reg [7:0] dig_seg; localparam NUM_0 = 8'hC0, NUM_1 = 8'hF9, NUM_2 = 8'hA4, NUM_3 = 8'hB0, NUM_4 = 8'h99, NUM_5 = 8'h92, NUM_6 = 8'h82, NUM_7 = 8'hF8, NUM_8 = 8'h80, NUM_9 = 8'h90, NUM_A = 8'h88, NUM_B = 8'h83, NUM_C = 8'hC6, NUM_D = 8'hA1, NUM_E = 8'h86, NUM_F = 8'h8E, LIT_ALL = 8'h00, BLC_ALL = 8'hFF; parameter CNT_REF = 25'd1000; reg [9:0] cnt_20us; //20us计数器 reg [3:0] data_tmp; //用于取出不同位选的显示数据 // assign data = 32'hABCD_4413; //描述位选信号切换 //描述刷新计数器 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt_20us <= 25'd0; end else if(cnt_20us >= CNT_REF - 25'd1)begin cnt_20us <= 25'd0; end else begin cnt_20us <= cnt_20us + 25'd1; end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_sel <= 8'hfe;//8'b1111_1110 end else if(cnt_20us >= CNT_REF - 25'd1)begin dig_sel <= {dig_sel[6:0],dig_sel[7]}; end else begin dig_sel <= dig_sel; end end assign sel = dig_sel; //段选信号描述 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin data_tmp <= 4'd0; end else begin case(sel) 8'b1111_1110:data_tmp <= data[ 3-:4]; 8'b1111_1101:data_tmp <= data[ 7-:4]; 8'b1111_1011:data_tmp <= data[11-:4]; 8'b1111_0111:data_tmp <= data[15-:4]; 8'b1110_1111:data_tmp <= data[19-:4]; 8'b1101_1111:data_tmp <= data[23-:4]; 8'b1011_1111:data_tmp <= data[27-:4]; 8'b0111_1111:data_tmp <= data[31-:4]; default: data_tmp <= 4'hF; endcase end end always@(posedge clk or negedge rst_n)begin if(!rst_n)begin dig_seg <= BLC_ALL; end else begin case(data_tmp) 4'h0 : dig_seg <= NUM_0; 4'h1 : dig_seg <= NUM_1; 4'h2 : dig_seg <= NUM_2; 4'h3 : dig_seg <= NUM_3; 4'h4 : dig_seg <= NUM_4; 4'h5 : dig_seg <= NUM_5; 4'h6 : dig_seg <= NUM_6; 4'h7 : dig_seg <= NUM_7; 4'h8 : dig_seg <= NUM_8; 4'h9 : dig_seg <= NUM_9; 4'hA : dig_seg <= NUM_A; 4'hB : dig_seg <= NUM_B; 4'hC : dig_seg <= NUM_C; 4'hD : dig_seg <= NUM_D; 4'hE : dig_seg <= NUM_E; 4'hF : dig_seg <= NUM_F; default: ; endcase end end assign seg = dig_seg ; endmodule

这是一个模块,包含输入时钟信号clk、复位信号rst_n和需要显示的32位数据data,以及输出8个位选信号sel和8个段选信号seg。 reg [7:0] dig_sel; reg [7:0] dig_seg; 这两行代码定义了两个寄存器...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (20)

module seg_decode( input wire clk, input wire rst_n, input wire [4:0] seg_value_1, input wire [4:0] seg_value_2, output reg [5:0] sel, output reg [7:0] seg ); parameter CNT_20US = 10'd999; reg [3:0] number; wire [2:0] change_l; wire [2:0] change_h; reg [9:0] cnt; //计时模块 always@(posedge clk or negedge rst_n)begin if(!rst_n)begin cnt <= 10'd0; end else if(cnt == CNT_20US)begin cnt <= 10'd0; end else begin cnt <= cnt + 10'd1; end end always @(posedge clk or negedge rst_n) begin if(!rst_n)begin sel <= 6'b011111; end else if(cnt == CNT_20US)begin sel <= {sel[0],sel[5:1]}; end else begin sel <= sel; end end always @(posedge clk or negedge rst_n) begin if(!rst_n)begin number <= 4'd0; end else begin case(sel) 6'b011111: number <= seg_value_1 % 10; 6'b101111: number <= seg_value_1 / 10; 6'b110111: number <= seg_value_2 % 10; 6'b111011: number <= seg_value_2 / 10; 6'b111101: begin if(seg_value_2 < seg_value_1) number <= 4'd15; else number <= change_l; end 6'b111110: begin if(seg_value_2 < seg_value_1) number <= 4'd14; else number <= change_h; end default:number <= 4'd0; endcase end end always @(posedge clk or negedge rst_n) begin if(!rst_n)begin seg <= 8'b1100_0000; end else begin case(number) 4'd0: seg <= 8'b1100_0000; 4'd1: seg <= 8'b1111_1001; 4'd2: seg <= 8'b1010_0100; 4'd3: seg <= 8'b1011_0000; 4'd4: seg <= 8'b1001_1001; 4'd5: seg <= 8'b1001_0010; 4'd14: seg <= 8'b1000_0110; 4'd15: seg <= 8'b1100_1110; default:seg <= 8'b1100_0000; endcase end end assign change_l = (seg_value_2 - seg_value_1) % 10; assign change_h = (seg_value_2 - seg_value_1) / 10; sel_drivesel_drive_u ( .clk (clk), .rst_n (rst_n), .sel_2() ); endmodule

这段代码是一个用 Verilog HDL 编写的七段数码管驱动模块。...这个模块包括了一些输入输出端口,比如时钟信号 clk、复位信号 rst_n、两个输入数值 seg_value_1 和 seg_value_2,以及两个输出信号 sel 和 seg。

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (21)

module top_design( input Clk , //system clock 100MHz input Rst_n , //reset ,low valid input echo , // output trig , //触发测距信号 output LED , output [6:0] hex1 , // -共阳极,低电平有效 output [6:0] hex2 , // - output [6:0] hex3 , // - output [6:0] hex4 ); wire [9:00] line_data; // wire [9:00] data; e_and_t hc_sr_driver( .Clk (Clk ), //system clock 100MHz .Rst_n (Rst_n ), //reset ,low valid .echo (echo ), // .trig (trig ), //触发测距信号 .data_o (line_data) //检测距离,保留3位整数,单位:cm ); seg u1( .clk (Clk ), //100MHz .rst_n (Rst_n ), //low valid .data_in (line_data), //待显示数据 .hex1 (hex1 ), // -共阳极,低电平有效 .hex2 (hex2 ), // - .hex3 (hex3 ), // - .hex4 (hex4 ) ); //Logic Description assign data[8:6] = line_data/100; // 百位 assign data[5:3] = line_data/10%10; // 十位 assign data[2:0] = line_data/1%10; // 个位 assign LED =((data[8:6] < 1)&(data[5:3] < 1)) ? 1 : 0; endmodule

其中包括时钟输入 Clk、复位信号 Rst_n、触发测距信号 trig、距离测量结果 echo、以及四个七段数码管的输出 hex1、hex2、hex3、hex4。模块中使用了一些 Verilog 的语法,如 wire、assign、seg 等。模块的功能是将从...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (22)

module race_game ( input clk, input rst, input [3:0]key, output [6:0]seg_led_1, output [6:0]seg_led_2, ); reg clk_divided; reg [6:0] seg[9:0]; reg [23:0] cnt; integer k; localparam PERIOD = 12000000; // 12MHz时钟信号的周期数 always @(posedge clk) begin if (!rst) begin cnt <= 0; clk_divided <= 0; end else begin if (cnt >= PERIOD-1) begin cnt <= 0; clk_divided <= ~clk_divided; end else begin cnt <= cnt + 1; end end end initial begin seg[0] = 7'h3f; // 0 seg[1] = 7'h06; // 1 seg[2] = 7'h5b; // 2 seg[3] = 7'h4f; // 3 seg[4] = 7'h66; // 4 seg[5] = 7'h6d; // 5 seg[6] = 7'h7d; // 6 seg[7] = 7'h07; // 7 seg[8] = 7'h7f; // 8 seg[9] = 7'h6f; // 9 end always @ (posedge clk_divided) begin if(!rst) begin for(k=10;k>0;k=k-1) begin case(k) 1'd0:begin seg_led_1<=seg[0];seg_led_2<=seg[0]; end 1'd1:begin seg_led_1<=seg[0];seg_led_2<=seg[1]; end 1'd2:begin seg_led_1<=seg[0];seg_led_2<=seg[2]; end 1'd3:begin seg_led_1<=seg[0];seg_led_2<=seg[3]; end 1'd4:begin seg_led_1<=seg[0];seg_led_2<=seg[4]; end 1'd5:begin seg_led_1<=seg[0];seg_led_2<=seg[5]; end 1'd6:begin seg_led_1<=seg[0];seg_led_2<=seg[6]; end 1'd7:begin seg_led_1<=seg[0];seg_led_2<=seg[7]; end 1'd8:begin seg_led_1<=seg[0];seg_led_2<=seg[8]; end 1'd9:begin seg_led_1<=seg[0];seg_led_2<=seg[9]; end 1'd10:begin seg_led_1<=seg[1];seg_led_2<=seg[0]; end endcase end seg_led_1<=seg[0]; seg_led_2<=seg[0]; end end always @ (posedge clk) begin if(!rst)begin if(k == 0) case(key) 4'd1:begin seg_led_1<=seg[0];seg_led_2<=seg[1]; end 4'd2:begin seg_led_1<=seg[0];seg_led_2<=seg[2]; end 4'd4:begin seg_led_1<=seg[0];seg_led_2<=seg[3]; end 4'd8:begin seg_led_1<=seg[0];seg_led_2<=seg[4]; end endcase end end endmodule 帮我检查一下这段代码的错误

input [3:0]key, output reg [6:0]seg_led_1, output reg [6:0]seg_led_2 ); reg clk_divided; reg [6:0] seg[9:0]; reg [23:0] cnt; integer k = 0; localparam PERIOD = 12000000; always @(posedge ...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (23)

module project( clk, rst, ENA, init_num, SEL, SEG ); input clk; input rst; input ENA; input [3:0]init_num; output [1:0]SEL; output [7:0]SEG; reg clk_1Hz; reg [27:0]div_cnt; always@(posedge clk or negedge rst) if (!rst) div_cnt <= 0; else if (div_cnt >= 28'd99999999) // 1Hz——99999999 div_cnt <= 0; else div_cnt <= div_cnt + 1'b1; always@(posedge clk or negedge rst) if (!rst) clk_1Hz <= 0; else if (div_cnt == 28'd99999999) // 1Hz--99999999 clk_1Hz <= 1'b1; else clk_1Hz <= 0; reg [3:0]disp_num = 0; reg reverse = 0; always@(posedge clk_1Hz or negedge rst) begin if (!rst) disp_num <= init_num; else if (!ENA) ; else if (disp_num == 15) begin //正向计数到15 reverse <= 1; disp_num <= disp_num - 1; end else if (disp_num == 0 & reverse) begin //反向计数到0 reverse <= 0; disp_num <= disp_num + 1; end else if (!reverse) disp_num <= disp_num + 1; else if (reverse) disp_num <= disp_num - 1; end smg_disp_1 u1( .Clk(clk), .Reset_n(rst), .Disp_Data(disp_num), .SEL(SEL), .SEG(SEG) ); endmodule给代码进行注释

reg [3:0] disp_num = 0; //计数器的输出数值,默认为0 reg reverse = 0; //计数器的计数方向,默认为正向计数 always@(posedge clk_1Hz or negedge rst) begin //1Hz的时钟信号上升沿或同步复位信号下降沿触发 ...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (24)

module top_module( input clk, input rst_n, output reg [3:0] led, output reg [2:0] sel, output reg [6:0] seg ); wire clk_2s; wire [3:0] lsd_led; lsd lsd_inst( .clk(clk), .rst_n(rst_n), .led(lsd_led) ); aa aa_inst( .clk(clk_2s), .sel(sel), .rst_n(rst_n), .seg(seg), .Q(lsd_led[3]) ); always @ (posedge clk) begin if (rst_n == 0) begin led <= 4'b0111; end else begin led <= {led[0], led[3:1]}; end end endmodule

这是一个顶层模块,包含了之前提到的 lsd 模块和 aa ...同时,将 lsd_led[3] 信号连接到了 aa 模块的 Q 输入上,用来控制七段数码管的显示数字。最后,在 always 块中,根据时钟信号来控制 led 的显示向左移动一位。

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (25)

module smg( input clk,//时钟信号 input rst,//复位信号(低有效) input jf, input pw1, input[31:0] sum,//数码管显示的十进制数 output reg [2:0] sel,//数码管位选 output reg [7:0] seg//数码管段选 );//8位8段式数码管动态显示驱动 端口定义 reg[31:0] cnt_1ms;//1ms计数器 reg[3:0] dis_num;//正在显示的数字 w

其中,clk 是时钟信号,rst 是复位信号,jf 和 pw1 是输入信号,sum 是需要在数码管上显示的十进制数,sel 是数码管的位选信号,seg 是数码管的段选信号。cnt_1ms 是 1ms 计数器,dis_num 是当前正在显示的数字。...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (26)

module seg7 ( input clk, input rst_n, input [3:0] data0, input [3:0] data1, input [3:0] data2, input [3:0] data3, input [3:0] data4, input [3:0] data5, output [7:0] seg0, output [7:0] seg1, output [7:0] seg2, output [7:0] seg3, output [7:0]

seg4, output [7:0] seg5, output [7:0] seg6, output [7:0] seg7); 可以简要解释一下这段代码的作用吗? 这段代码是一个 Verilog HDL 的模块,其中包含了一个时钟信号、一个异步复位信号以及六个输入端口和八个...

//数码管显示module seg_disp ( input clk, input rst, input [3:0] second_low, //秒数个位 input [3:0] second_high, //秒数十位 input [3:0] minute, //分数个位 output reg [7:0] seg, output reg [7:0] sel);parameter max_cnt_1ms = 16'd50000;parameter max_cnt_sel = 2'd3; //sel位选有多少个亮就写多少个,这里有3个亮parameter digit0 = 8'b1100_0000;parameter digit1 = 8'b1111_1001;parameter digit2 = 8'b1010_0100;parameter digit3 = 8'b1011_0000;parameter digit4 = 8'b1001_1001;parameter digit5 = 8'b1001_0010;parameter digit6 = 8'b1000_0010;parameter digit7 = 8'b1111_1000;parameter digit8 = 8'b0111_1111;parameter digit9 = 8'b1001_0000;reg [15:0] cnt_1ms;reg flag_1ms;reg [1:0] cnt_sel;reg [3:0] data_disp; //sel的数据显示,从0-9reg [7:0] sel_reg//============= cnt_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_1ms <= 16'b0; else if(cnt_1ms == max_cnt_1ms cnt_1ms <= 16'b0; else cnt_1ms <= cnt_1ms + 1'b1;end//============= flag_1ms ===============//always @(posedge clk or posedge rst) begin if(rst) flag_1ms <= 1'b0; else if(cnt_1ms == max_cnt_1ms flag_1ms <= 1'b1; else flag_1ms <= 1'b0;end//============= cnt_sel ===============//always @(posedge clk or posedge rst) begin if(rst) cnt_sel <= 2'b0; else if(flag_1ms) begin if(cnt_sel == max_cnt_sel cnt_sel <= 2'd0; else cnt_sel <= cnt_sel + 1'b1; end else cnt_sel <= cnt_sel;end//============= sel_reg and data_disp ===============//always @(posedge clk or posedge rst) begin if(rst) begin sel_reg <= 8'b1111_1111; data_disp <= 4'd0; end else if(flag_1ms) begin case(cnt_sel) //根据cnt_sel的值,数码管动态扫描显示3个数 4'd0: begin //第1ms显示秒数个位 sel_reg <= 8'b1111_1110; data_disp <= second_low; end 4'd1: begin / (27)

秒表设计 设计要求:秒表为60进制,以秒计时。秒数用数码管以十进制显示,分钟数用LDE灯显示,即秒显示从00到59循环每秒跳转计数,每计满1分钟,增加点亮1个LED灯,最大计数到7分59秒(此时7个LED灯亮,数码管显示59)。要求带复位、启动/暂停功能。 输入: clk, rst, key, //时钟,复位,启动/暂停 输出:[8: 0] seg_10, seg_1 //数码管显示秒数 [7: 0] led //LED显示分钟数题目3:秒表设计 设计要求:秒表为60进制,以秒计时。秒数用数码管以十进制显示,分钟数用LDE灯显示,即秒显示从00到59循环每秒跳转计数,每计满1分钟,增加点亮1个LED灯,最大计数到7分59秒(此时7个LED灯亮,数码管显示59)。要求带复位、启动/暂停功能。 输入: clk, rst, key, //时钟,复位,启动/暂停 输出:[8: 0] seg_10, seg_1 //数码管显示秒数 [7: 0] led //LED显示分钟数

当计数器的值达到60时,需要将计数器清零,并且LED灯的值加1,同时数码管的值也需要重置为0。当LED灯的值达到7时,计数器的最大值为7*60+59=479,此时计时器不能再继续计数了。 在Verilog语言中,需要使用状态机来...

//数码管显示
module seg_disp (
    input clk,
    input rst,
    input [3:0] second_low,  //秒数个位
    input [3:0] second_high, //秒数十位
    input [3:0] minute,      //分数个位

    output reg [7:0] seg,
    output reg [7:0] sel
);

parameter max_cnt_1ms = 16'd50000;
 (2025)
Top Articles
Latest Posts
Recommended Articles
Article information

Author: Errol Quitzon

Last Updated:

Views: 5793

Rating: 4.9 / 5 (59 voted)

Reviews: 90% of readers found this page helpful

Author information

Name: Errol Quitzon

Birthday: 1993-04-02

Address: 70604 Haley Lane, Port Weldonside, TN 99233-0942

Phone: +9665282866296

Job: Product Retail Agent

Hobby: Computer programming, Horseback riding, Hooping, Dance, Ice skating, Backpacking, Rafting

Introduction: My name is Errol Quitzon, I am a fair, cute, fancy, clean, attractive, sparkling, kind person who loves writing and wants to share my knowledge and understanding with you.